UVM OBJECTIONS

In reply to Mechanic:
Thank you cgales.

I had two questions related to the above subject

  1. I had one confusion over raising an objection in the sequence. is it recommended.
    could you just point me some examples when can we raise an objection inside a sequence.
    2.In the below example the run phase is terminated at 0 simulation time. because there is no objection raised.
    Please clarify why the simulation without objection terminate at 0. what is the mechanism that would be a great help

class test1 extends uvm_test
function new test1
endfucntion
 
virtual task run_phase(uvm_phase phase);
#10ns;
`uvm_info("test","run phase task",UVM_MEDIUM);
endtask
 
endclass

Thanks in Advance.

Regards,
Mechanic