UVM driver sequencer interaction with parameterized sequence item

In reply to ABD_91:
Hi ABD_91 and thank you


typedef parameterized_sequence_with_very_long_name#(PARAM_NAME) my_sequence_type;
class my_test extends uvm_test;
  my_sequence_type m_seq;
...
  function void build_phase(uvm_phase phase);
    super.build_phase(phase)
    m_seq = my_sequence_type::type_id::create("name")
  endfunction
...
endclass

class parameterized_sequence_with_very_long_name#(PARAM_NAME) extends uvm_sequence;

Also added to the initial post. thanks