Until_with evaluation

In reply to ben@SystemVerilog.us:

Hi Ben thanks.
I think below would also work right, changing |=> to |->. I tried and it seems ok.

property check_req_ack;
     @(posedge clk)
     req |-> req s_until_with ack;
endproperty
 
assert property (check_req_ack);