Type error

In reply to chr_sue:

class my_monitor extends uvm_monitor;
`uvm_component_utils(my_monitor)

uvm_analysis_port#(my_transaction)aport;

function new(string name=“my_monitor”,uvm_component parent);
a_port = new(“aport”,this);
endfunction

virutal task run_phase(uvm_phase phase);
aport.write(my_transaction);
.
.
endtask

endclass