In reply to Mrutunjay:
clocking df_clk @(posedge clk);
endclocking
default clocking df_clk;
property p1;
$rose(start)|-> ##[4:15] $fell(B) ##0 !B[*1:$] ##1 $rose(A) ##0 B;
endproperty
assert property (p1);
- I guess this will satiate your requirement. I am unsure whether I should use first_match over here cause I am assuming the tool will automatically defenestrate other possible scenarios.