System Verilog Fine grain Process

Hi,

Can someone explain what is fine grain process, where it is used & any simple example for fine grain process.

I have refered to LRM but not able to understand the given syntaxes & example.

Thanks a lot dave. I appreciate it.