Hello Everyone,
I’m trying to write a SVA to check that a pin on a module isn’t tied off to a constant 1 or 0. I’ve tried various incantations of $stable() and eventually combined with $rose() or $fell().
Is there some way of detecting input constants with SVA assertions?
This is not for simulation, but rather is going to be fed into a Formal tool such as Jasper which will try and falsify the assertion.
Stated another way, Is there some way of checking that an input is a free variable in SVA?
The assertion I came up with is simulated by the formal tool and it can’t prove it as the dynamic pins may never toggle.
Anyway… Thanks for whatever help you can give.
not_tieoff : assert property (@(posedge clk) s_eventually (not $stable(u_sm.b)));