In reply to hisingh:
That is because your property is equivalent to
property abc ;
@( posedge clk ) $rose( a ) ##1 1 |-> strong( b ##1 c ) ;
endproperty
So the strong part of your property would not start until time 25.
We recommend avoiding the use of the non overlapping implication operator |=> and just use the overlapping implication |->.
property abc ;
@( posedge clk ) $rose( a ) |-> strong(##1 b ##1 c ) ;
endproperty