In reply to kevinvig:
That works. Also
property dout_stable_property;
@(posedge clk) $rose(
cs
) |-> ##1 ($stable(
dout
) until $fell(
cs);
endproperty
In reply to kevinvig:
That works. Also
property dout_stable_property;
@(posedge clk) $rose(
cs
) |-> ##1 ($stable(
dout
) until $fell(
cs);
endproperty