Sequence Item (Transaction) Composition

In reply to dave_59:
Thanks Dave !!

Actually what I am expecting if it work is that I want to map trans1’s multiple attributes in single attribute of trans2 so that I can perform operations easily in my reference model on that single attribute.

in my trans2 class I am creating object like this:-

function new(string name = “trans2”);
super.new(name);
t = trans1::type_id::create( “trans1”, this );
endfunction : new

error 1.
Illegal assignment to class mtiUvm.uvm_pkg::uvm_component from class work.tb_top_sv_unit::trans2

2.
Actual input arg. of type ‘class work.tb_top_sv_unit::trans2’ for formal ‘parent’ of ‘create’ is not compatible with the formal’s type ‘class mtiUvm.uvm_pkg::uvm_component’.

since it extends uvm_sequence_item so can’t we use create method in this if not than how to create a object in this class!!