Seq.start not invoking body of sequence

I’ve been setting up a TB where sequence is getting invoked using start in the test but it’s not entering body of the sequence. Can someone help ?

EDA : Edit code - EDA Playground

The issue is with the forever loop inside simple_driver.
It is stuck in an infinite loop for the 1st thread within fork join_any

If I comment the 1st thread within fork join_any the body() task executes

                //begin
                //    wait(vif.rstn == 0);
                //    $display("wait(vif.rstn == 0)");
                //end

Thank you