Seq_item_port driver-sequencer - communication issue

# ** Error: (vsim-7065) Illegal assignment to class work.uvm_pkg::uvm_port_base #(class work.uvm_pkg::uvm_sqr_if_base #(class work.uvm_pkg::uvm_sequence_item, class work.uvm_pkg::uvm_sequence_item)) from class work.uvm_pkg::uvm_seq_item_pull_imp #(class work.top_sv_unit::utmi_pkt, class work.top_sv_unit::utmi_pkt, class work.uvm_pkg::uvm_sequencer #(class work.top_sv_unit::utmi_pkt, class work.top_sv_unit::utmi_pkt))
#    Time: 0 ps  Iteration: 0  Region: /top_sv_unit File: ../utmi/utmi_agent.sv Line: 24
# ** Error: (vsim-7065) Illegal assignment to class work.uvm_pkg::uvm_port_base #(class work.uvm_pkg::uvm_sqr_if_base #(class work.uvm_pkg::uvm_sequence_item, class work.uvm_pkg::uvm_sequence_item)) from class work.uvm_pkg::uvm_seq_item_pull_imp #(class work.top_sv_unit::wb_tx, class work.top_sv_unit::wb_tx, class work.uvm_pkg::uvm_sequencer #(class work.top_sv_unit::wb_tx, class work.top_sv_unit::wb_tx))
#    Time: 0 ps  Iteration: 0  Region: /top_sv_unit File: ../wishbone/wb_agent.sv Line: 25
# ** Error: (vsim-8754) Actual input arg. of type 'class work.uvm_pkg::uvm_seq_item_pull_imp #(class work.top_sv_unit::wb_tx, class work.top_sv_unit::wb_tx, class work.uvm_pkg::uvm_sequencer #(class work.top_sv_unit::wb_tx, class work.top_sv_unit::wb_tx))' for formal 'provider' of 'connect' is not compatible with the formal's type 'class work.uvm_pkg::uvm_port_base #(class work.uvm_pkg::uvm_sqr_if_base #(class work.uvm_pkg::uvm_sequence_item, class work.uvm_pkg::uvm_sequence_item))'.
#    Time: 0 ps  Iteration: 0  Region: /top_sv_unit File: ../wishbone/wb_agent.sv Line: 25
# ** Error: (vsim-8754) Actual input arg. of type 'class work.uvm_pkg::uvm_seq_item_pull_imp #(class work.top_sv_unit::utmi_pkt, class work.top_sv_unit::utmi_pkt, class work.uvm_pkg::uvm_sequencer #(class work.top_sv_unit::utmi_pkt, class work.top_sv_unit::utmi_pkt))' for formal 'provider' of 'connect' is not compatible with the formal's type 'class work.uvm_pkg::uvm_port_base #(class work.uvm_pkg::uvm_sqr_if_base #(class work.uvm_pkg::uvm_sequence_item, class work.uvm_pkg::uvm_sequence_item))'.
#    Time: 0 ps  Iteration: 0  Region: /top_sv_unit File: ../utmi/utmi_agent.sv Line: 24
# Error loading design
# Error: Error loading design
#        Pausing macro execution
# MACRO ./run.do PAUSED at line 11[size=4]Text[/size]

i am having this issue , if anyone have idea to solve this issue please help me , Thanks in Advance

Start with looking at File: ../utmi/utmi_agent.sv Line: 24

What is on that line, and how are the identifiers declared on that line.

Generally in an Agent, We just declare the handles for the sequencer, driver and monitor, and the build we call the create method after if we move to connect phase the seq_item_port and seq_item_export we use to connect, the sequencer and driver.

As per the error from my understanding you have error there keeping that aside if we move further the “uvm_seq_item_pull_imp #(class” this is begin an use generally, we use get_next_item and item_done these are implemented by uvm_blocking_get_imp by the TLM of sequencer and its begin called in the driver of TLM of uvm_blocking_get_port. So, the error pull_imp which might be implenting the pull() there might be an declaration error in driver or keeping them run_phase correctly.

Thanks,
Vinod