Running UVM Default Sequence

if i call the default sequence on virtual sequencer’s sequencer then it is not working but if call directly on sequencer it is working why this ?

class mem_ibr_test extends mem_base_test;

  mem_sanity_seqs sanity_seqs;
  mem_fnx_seqs write_seqs;

  `uvm_component_utils(mem_ibr_test)

  function new(string name="mem_ibr_test",uvm_component parent=null);
    super.new(name,parent);
  endfunction

  function void build_phase(uvm_phase phase);
    super.build_phase(phase);
    //sanity_seqs=mem_sanity_seqs::type_id::create("sanity_seqs");
    //uvm_config_db #(uvm_sequence_base)::set(null,"env.v_seqncer.seqncer.main_phase","default_sequence",sanity_seqs);
    //uvm_config_db #(uvm_object_wrapper)::set(this,"env.v_seqncer.seqncer.run_phase","default_sequence",mem_sanity_seqs::type_id::get());
  endfunction

  function void connect_phase(uvm_phase phase);
    super.connect_phase(phase);
  endfunction

  function void start_of_simulation_phase(uvm_phase phase);
    super.start_of_simulation_phase(phase);
    //uvm_config_db #(uvm_object_wrapper)::set(null,"env.v_seqncer.seqncer.main_phase","default_sequence",mem_sanity_seqs::type_id::get());
  endfunction

  task run_phase(uvm_phase phase);

    uvm_config_db #(uvm_object_wrapper)::set(this,"env.agnt.seqncer.run_phase","default_sequence",mem_sanity_seqs::type_id::get());
  endtask

endclass

Please explain what you mean by “working” and not working"?

Your code neither sets a default sequence (it’s commented out), nor constructs and starts the sequence directly.

from working i mean default sequence is running , yes i have commented but it is not running after comment out also, i have connected virtual sequencer and sequencer in the connect phase of the environment.