Running python script from c++ via system verilog

I have an environment, in SV, i want run a python script, that get and receive long arguments.
I use the PyObject command in C++, my question is, how can I send python the long value? all of the examples I see are send strings

Thanks!!