Run_test

In reply to chr_sue:

module top();
import pkg::*;

initial
begin
run_test(“ram_wr_test”);
end

endmodule

pkg contains:
package pkg;

import uvm_pkg::*;
`include “uvm_macros.svh”

include "tb_defs.sv" include “write_xtn.sv”
include "ram_wr_driver.sv" include “ram_wr_agent.sv”
include "ram_wr_agt_top.sv" include “ram_wr_test.sv”

endpackage