Read data driving issue in reactive slave of AHB

Hi team, I’m trying to build reactive slave for AHB. I have considered memory in my environment. I’m able to write the data captured by the monitor to memory but when i’m trying to read the data and drive the Read_data I’m not able to get the Read_data in sequencer. I have pasted my sequence body method before please help with the issue.

virtual task body();
forever begin
p_sequencer.request_fifo.get(txn);
read_data=p_sequencer.memory.read(txn.HADDR);
uvm_info("SEQ",$sformatf("HRESP %0d,HWRITE %0d HADDR %0d HRDATA %0d, HWDATA %0d",txn.HRESP,txn.HWRITE,txn.HADDR,txn.HRDATA,txn.HWDATA),UVM_NONE) uvm_do_with(txn,{txn.HRDATA==read_data;});
uvm_info("SEQ",$sformatf("HADDR %0d, HRDATA %0d",txn.HADDR,txn.HRDATA),UVM_NONE); uvm_do_with(txn,{ txn.HRESP==OKAY;});
end

Thank you

Is the handle in the sequencer (uvm_component) of the reactive slave agent is connected properly to the memory instance which resides in the agent?

try to print the output of the read_data after the read action mentioned in your code:
read_data=p_sequencer.memory.read(txn.HADDR);

Thanks Michael will do that.