RAL access stuck and not executed

In reply to v-markovic:

I believe this will not help.
Please check the access rights of the corresponding fields and the register it belongs to.

And try

regmodel.reg.some_field.update();

I had one process started at the beginning of the test with fork-join_none and it was running in background. This process was something like:

fork
begin
forever begin
wait(regmodel.cfg0.is_busy() == 1’b0);
regmodel.cfg0.enable.set(N); // N can be 0 or 1
regmodel.cfg0.update(status);
// Wait some delay
end // forever
end
join_none

When I removed this process, then everything works ok, not sure what exactly is the reason, but if I find out I will post it.
Thank you very much for your time and suggestions.

I found the real reason for RAL model getting stuck.
Beside using regmodel.reg_name.write() or read(), I was also sending transactions from another process using start_item()-wait_some_delay-randomize-finish_item().

Of course, the problem was the delay between start_item() and finish_item(), which should never be used! After removing the delay, everything worked.

In reply to v-markovic:

Great to hear this and one of the very important findings with delay on the transaction level!

i am stuck on RAL integration, i dont know where i did mistake my error is :[UVM_FATAL @ 15: uvm_test_top.uenv.apb_agnt.apb_sqr [apb_sqr] send_request failed to cast sequence item.
and one more thing i have given display statement inside reg2bus of adapter class its display is coming but if i am putting display statement inside bus2reg into adapter class then display is not appear.
please help me.

In reply to tinku_mistry:

How does your register sequence look like?
reg2bus isd called when you are doing a write and bus2reg when doing a read.

In reply to chr_sue:

yes, But still i am facing error .

In reply to tinku_mistry:

It might not be connected correctly.