Problem in creating derived uvm_scbd object

In reply to sharvil111:

I havn’t called match_in_scbd(); anywhere. The only thing I did was createing the scbd objects in my environment class:


`include my_scoreboard.sv
class my_env extends uvm_env;
        scbd_a   scbd_a_o;
	scbd_b   scbd_b_o;
 
	`uvm_component_utils(my_env)
 
	function new(string name,uvm_component parent);
	    super.new(name,parent);
	endfunction: new
 
	function void build_phase(uvm_phase phase);
            super.build_phase(phase);
	    scbd_a_o = scbd_a::type_id::create("scbd_a_o",this);
	    scbd_b_o = scbd_b::type_id::create("scbd_b_o",this);
	endfunction: build_phase
endclass: my_env

Why is scbd containing objects of the parent class?