In reply to wch:
See here how it should look like:
import uvm_pkg::*;
`include "uvm_macros.svh"
`include "my_scoreboard.sv"
typedef class COMP;
class my_env extends uvm_env;
scbd_a scbd_a_o;
scbd_b scbd_b_o;
`uvm_component_utils(my_env)
function new(string name,uvm_component parent);
super.new(name,parent);
endfunction: new
function void build_phase(uvm_phase phase);
super.build_phase(phase);
scbd_a_o = scbd_a #(COMP)::type_id::create("scbd_a_o",this);
scbd_b_o = scbd_b #(COMP)::type_id::create("scbd_b_o",this);
endfunction: build_phase
endclass: my_env
class COMP extends uvm_comparer;
`uvm_component_utils(COMP)
function new (string name, uvm_component parent );
endfunction
endclass : COMP