Parameterized Delay in Assertion

Using dynamic delay you could implement using a single property

Without it, one possible way would be

property trigger_check0;
  $rose(a) ##0 ( CLK_CYC == 0 ) |-> ##20 $rose(b) ##1 $fell(b);
endproperty : trigger_check0

property trigger_check1;
  $rose(a) ##0 ( CLK_CYC == 1 ) |-> ##50 $rose(b) ##1 $fell(b);
endproperty : trigger_check1
...................