Parameterized Classes

In reply to richedelman:

import uvm_pkg::*;
`include "uvm_macros.svh"

class parameterized_class #(int T = 42) extends uvm_component;
  `uvm_component_utils(parameterized_class#(T))

  function new(string name = "p", uvm_component parent = null);
    super.new(name, parent);
  endfunction

  task run_phase(uvm_phase phase);
    $display("Class parameterized_class#(%0d) running", T);
  endtask
endclass

Why the class “parameterized_class” is not registered with the `uvm_componenet_param_utils ?

1 Like