How to write a assertion to check a 2D array that all its elements with the value zero

Can we use SVA to write a assertion to check that a two dimensional array is all zero?