In reply to chr_sue:
Inside driver,
task run_phase(uvm_phase phase);
forever
begin
seq_item_port.get_next_item(req);
`uvm_info("MY_TYPE", $sformatf("Sequence Type Is %p",req.get_type()), UVM_LOW)
This is the info message is follows, (I am sorry it it not an error but does not display the type, I am expecting to print req item type)
KERNEL: UVM_INFO /home/runner/testbench.sv(98) @ 2590: uvm_test_top.m_driver [MY_TYPE] Transmitted frame '{me:circular reference, class already printed}
I want to display req item type without $cast() to debug.