How can we use p_sequencer to implement uvm_config_db inside sequence class in uvm?

Hi,
Could someone please let me know how to use p_sequencer to implement conifg_db inside sequence?

You should never use p_sequencer as it limits the flexibility and reusability of your environment and sequences.

What you should do is pass any required configuration variables from your test to your sequence when the sequence is created. The steps should be:

  • Create sequence
  • Assign sequence configuration variables
  • Start sequence