Help on vlog error codes

This question is specific to Modelsim. I would like to find out command line to find more help on various errors emitted in compilation and run time.
For example, vlog-13069.

I used this before, but have forgotten now.

Thanks,
Madhu

Try:

verror vlog-1306

vlog Message # 1306:
The package referred to in the external name package pathname is not a package.
[DOC: IEEE Std 1076-2008 VHDL LRM - 8.7 External names]