Hang between run and extract phase

In reply to dave_59:

Hi Dave,

Thanks for replying. I have a test case extended from base test. Now in my test, I’ve generate the traffic as following way,



class base_test extends uvm_test;
  ...
  task run_phase(uvm_phase phase);
    super.run_phase();
    phase.raise_objection(this);
    ...
    // Created the sequence and start the sequencer
    // For initial traffic generation 
    // Wait for completion of the traffic on the lanes
    ...
    phase.drop_objection(this);
  endtask : run_phase
  ...
endclass : base_test

class my_test extends base_test;
  ...
  ...
  task run_phase(uvm_phase phase);
    super.run_phase();
    phase.raise_objection(this);
    ...
    // Created the sequence and start the sequencer 
    // Wait for completion of the traffic on the lanes
    ...
    phase.drop_objection(this);
  endtask : run_phase
  ...
endclass : my_test

I don’t know this is enough or not. The test case is hanging in uvm_phase.svh file as I mentioned earlier.


//OUTPUT
The total objection count is 1
---------------------------------------------------------
Source  Total   
Count   Count   Object
---------------------------------------------------------
0       1       uvm_top
1       1         uvm_test_top
---------------------------------------------------------

UVM_INFO @5805485000 run_phase, after drop the objection in my_test

The total objection count is 1
---------------------------------------------------------
Source  Total   
Count   Count   Object
---------------------------------------------------------
0       1       uvm_top
---------------------------------------------------------

UVM_INFO @5805485000 TEST_DONE, 'run' phase is ready to proceed to the 'extract' phase
UVM_INFO @5805485000 PH/TRC/EXE/ALLDROP, Phase 'common.run' (id=121) PHASE EXIT ALL_DROPPED
UVM_INFO @5805485000 PH_READY_TO_END, Phase 'uvm.uvm_sched.post_shutdown' (id=332) PHASE READY TO END
UVM_INFO @5805485000 PH_READY_TO_END, Phase 'common.run' (id=121) PHASE READY TO END


I think uvm_top have one objection remains to drop as per the Total Count displaying in the log file. I don’t know what is this and how to drop that one, but the test is hanging 2-3 hrs after above display.

I have enabled the UVM_PHASE_TRACK verbosity in above log file.


Regards