I am performing a factory override in the my test using set_type_override. I am overriding a driver with a new driver extended from the old driver, similar to the following:
my_test extends uvm_test;
`uvm_component_utils(my_test)
virtual function void build_phase(uvm_phase phase);
// set factory override
old_driver#(<paramters>)::type_id::set_type_override(new_driver#(<parameters>)::get_type());
endfunction : build_phase
function void end_of_elaboration_phase(uvm_phase phase);
factory.print();
endfunction
endclass
However, the simulation log shows the factory override did not work:
#### Factory Configuration (*)
#
# No instance overrides are registered with this factory
#
# Type Overrides:
#
# Requested Type Override Type
# -------------- -------------
# <unknown> <unknown>
Is there a correct phase the factory information should be printed?