In reply to Divya_LTTS:
Now you have 3 different apb_pkg files. But you can use only 1. I recommend to use this code of your apb_pkg.sv:
package apb_pkg;
// Imports
import uvm_pkg::*;
`include "uvm_macros.svh"
// UVC includes
`include "apb_seq_item.sv"
`include "apb_sequence.sv"
`include "apb_sequencer.sv"
`include "apb_driver.sv"
`include "apb_monitor.sv"
`include "apb_agent.sv"
`include "apb_scoreboard.sv"
`include "apb_environment.sv"
`include "apb_test.sv"
`include "apb_Testbench_Top.sv"
endpackage
`include "apb_interface.sv"
Please note the interface is a static construct and not a class. Therefore it cannot be part of the package. But we can compile it with the package code as shown above.