Error while Compiling the UVM Testbench

In reply to Divya_LTTS:

To give you a package file:
apb_pkg.sv looks like this

package apg_pkg;
  // Imports
  import uvm_pkg::*;
  `include "uvm_macros.svh"

  // UVC includes
  `include "apb_seq_item.sv"
  `include "apb_config.sv"
  `include "apb_driver.sv"
  `include "apb_monitor.sv"
  `include "apb_sequencer.sv"
  `include "apb_coverage.sv"
  `include "apb_agent.sv"
  `include "apb_env.sv"
  `include "apb_seq_lib.sv"
endpackage
 `include "apb_if.sv"

The Questa compilation command is
vlog apb_pkg.sv