Error-[NOA] Null object access

In reply to chr_sue:

Here is the file Click here to access the file

In reply to Rogers:

The code structure is strange. There seems to be a file named
sdb_tb_base_test.svh.
In the EDAPlayground there is only
sdb_tb_base_test.sv.

In reply to Rogers:

Any time you uplift an existing UVM based UVC you will need to understand how the UVC is configured, how it receives the resources it needs, and how to access resources it provides. This information is provided in the UVMF’s Users Guide. I suggest reading the Users Guide section on environment and interface initialization in addition to backing out any changes to the uvmf base package and reviewing the examples provided in the UVMF installation as Jon suggested.

In reply to chr_sue:

there is that file already i have updated the structure please check here cleck here to access the files

In reply to Rogers:
Thanks, I have seen it now.
What still is missing is a couple of packages:
import uvm_pkg::;
import uvd_reg_pkg::
;
import uvd_lcm_pkg::;
import uvd_scm_pkg::
;
import vcn_mdm_pkg::;
import uvd_sre_pkg::
;
import uvd_sdb_avail_pkg::*;
I’m not sure where they are coming from.

In reply to chr_sue:

Digging into your code shows more files are missing. If you do not want to share your code public, you can share it personally with me using my email
christoph@christoph-suehnel.de

A tar-file would be great.

In reply to chr_sue:

I am interested only in vcn_mdm_pkg::*

and I have shared all the code in that package files starting with vcn_mdm_*

Click here to access the code here

In reply to chr_sue:

I i did it to that address.

In reply to Rogers:

I was responding on your gmail address.