DPI-C compile issue

Hello,

I am testing my DPI-C importing and exporting in Synopsys VCS and Cadence.
The code are working in Synopsys, but not working at Cadence Xcelium.

Here is my code edaplayground link. Please let me know how to resolve it.

Thank you

This most likely is a problem with EDAPlayground plus you have not given anyone permission to view it.

This Mentor/Siemens EDA sponsored public forum is not for discussing tool specific usage or issues. Please read your tool’s user manual or contact your tool vendor directly for support.