Doing x.stop_sequences() is causing this UVM FATAL Item_done() called with no outstanding requests. Each call to item_done() must be paired with a previous call to get_next_item()

In reply to chr_sue:

We stop our sequences upon reset, that’s how the sequences are architected. Does that makes sense ?

In reply to uvm_va_1:

A reset is focused on the pin-level. You’ll move your DUT into the reset state. On the transaction level I do not see any reason reset something. You can finish the sequence and continue with another one.