dut:
module dut (input clk, input in1, input in2, output reg out1, output out2);
always @(posedge clk) begin
out1 <= in1;
end
assign out2 = out1 & in2;
endmodule
dut_if:
interface dut_if();
logic clk;
logic in1;
logic in2;
logic out1;
logic out2;
clocking cb @(posedge clk);
output in1;
output in2;
input out1;
input out2;
endclocking
endinterface
in this case, it is impossible to cover out1 == 1 & in2 == 1 if we design the driver which drives cb.in2 to 1 by only checking whether cb.out1 == 1 first right?