Disabling auto bins

Is there any way to disable generation of auto bins for a coverpoint when I just want to add Illegal bins only for that coverpoint

In reply to Hrithik_8050:

Please show an example.

In reply to dave_59:

Hi Dave,

Ex:
logic [3:0] a;
logic b;
covergroup test;
coverpoint a {
illegal_bins = {1} iff(b);
}
endgroup

In this example, other bins should not be created automatically, how can I prevent that.

Thank You

In reply to Hrithik_8050:

You could use default bins :


logic [3:0] a;
logic b;
covergroup test;
coverpoint a 
{
  illegal_bins  ill = {1} iff(b);  //  bin_identifier missing in your code
       bins  others = default ;
}
endgroup

In reply to MICRO_91:

Thanks, default bins worked for my case.