Create_item, start_item, finish_item not declared Issue in UVM

In reply to dave_59:

Hello Dave
Thank you Dave for your response.No ,actually it was uvm_sequence_item for stimulus,
so i changed seq_stimulus to extend from uvm_sequence and now working ,but is it not possible to inherit a sequence item to create sequences or we need to inherit from uvm_sequence and pass seq_item (or may be the uvm_pkg code is that way), thnks

import uvm_pkg::*;
`include "uvm_macros.svh"

class stimulus extends uvm_sequence_item;

rand logic[31:0] data;
rand logic valid;

`uvm_object_utils(stimulus);

 function new(string name= "stimulus");
   super.new(name);
 endfunction

endclass