Create_item, start_item, finish_item not declared Issue in UVM

In reply to dave_59:

Hi
my code with sequence_item(stimulus class) is used in generating sequence, even then i am gettting same errors as mentioned above ,pls guide me through , thnks

code :



import uvm_pkg::*;
`include "uvm_macros.svh"

class seq_stimulus extends stimulus;

  `uvm_object_utils(seq_stimulus)
  
  stimulus req;
  function new(string name = "seq_stimulus");
    super.new(name);
  endfunction

virtual task body();
  req = stimulus::type_id::create("req");
  `uvm_do(req);
 `uvm_info(get_type_name(),$sprintf("data = 'h%0h,valid= 'h%0h",req.data,req.valid),UVM_HIGH)

endtask

endclass

error: Top Level Modules:
uvm_custom_install_recording
uvm_custom_install_verdi_recording
top
TimeScale is 1 ns / 10 ps

Error-[IND] Identifier not declared
classes_v/seq_stimulus.sv, 20
Identifier ‘create_item’ has not been declared yet. If this error is not
expected, please check if you have set `default_nettype to none.

Error-[IND] Identifier not declared
classes_v/seq_stimulus.sv, 20
Identifier ‘start_item’ has not been declared yet. If this error is not
expected, please check if you have set `default_nettype to none.

Error-[IND] Identifier not declared
classes_v/seq_stimulus.sv, 20
Identifier ‘finish_item’ has not been declared yet. If this error is not
expected, please check if you have set `default_nettype to none.

3 errors
CPU time: 1.686 seconds to compile

thnks