Configuring Sequences | UVM Cookbook - question on example code

Experts,

In the following article:
Configuring Sequences | UVM Cookbook

uvm_config_db #( my_bus_config )::set( this , “agent1*” , “my_bus_config” , agent1_config );

The example shows a “get” as follows in the sequence:
uvm_config_db #( my_bus_config )::get( null , scope_name , “my_bus_config” , m_config )

If the “set” does not happen with a “null” context, how can we do a “get” in the sequence? Especially, since the “set” is happening in the agent (uvm_component context).

Can someone please clarify? Thanks.

The uvm_config_db just matches a string. See what scope_name is set to in the lines above the get()