Can we extend sequencer and driver from uvm_component?


is it possible? if yes, why iam facing this error then
can we extend sequencer from uvm_component?
please clarify my doubt -TIA.

Why do you want to extend uvm_component for a driver and sequencer instead of extending uvm_driver and uvm_sequencer?

The uvm_driver and uvm_sequencer both contain code which controls the generation and handling of sequence items. They are also parameterized to the sequence_item type which is being generated. Hence, you cannot extend uvm_component to create either component without adding a lot of functionality to your code.

1 Like

Hi cgales, thanks for response …I just thought that driver and sequencer come from component so why can’t we extend this from component… just a try to confirm the reason behind it.

Hi Indira,

The error you are observing has the information on why it is failing. Can you post it here?
As cgales also mentioned, we can use uvm_component, but then we need to write many things on our own (which is done already and can be reused).

-Rajratna

1 Like


yeah here it is.

In seq.start(env.at.se), env.at.se is not extended from uvm_sequencer_base. Hence the error.

Thanks and Regards,
Rajratna