Calling super inside uvm phases

Hi,
I saw in DVCon UVM rapid adoption paper the following statement:
"Never call super.build_phase() in a component extends from a UVM library base class

The reason for this rule is that the built-in UVM component base classes in the UVM class library include code in their build_phase methods for auto configuration, which is unnecessary, slow, and difficult to debug"

In other places I see that it’s always recommended to call super. for each uvm_phase implementation.

Can someone please share a clear rule/inisght?
Thanks

1 Like

This response provides more context.