Basic rule to use assertion in UVM

Is there any specific component in UVM, in where the assertion property always must be written? (for concurrent assertion)

The UVM does not tackle assertion writing rules.
General SystemVerilog coding guidelines recommend placing them in an interface, or in a module that is bound into the design with a bind construct.