Bad handle or reference

task base_vseq::vw_simple_write();

	espi_target_vw_seq vw_seq;
	`uvm_info(get_name(), "vw_simple_write() START", UVM_LOW)
	vw_seq = target_vw_seq::type_id::create("vw_seq");
	vw_seq.set_sequencer(env.vw_agent.vw_seqr);
	vw_seq.start(env.vw_agent.vw_seqr);

	`uvm_info(get_name(), "vw_simple_write() END", UVM_LOW)
endtask : vw_simple_write

I tried to create a handle with the sequence of my agent then, error occurs at the start() part of the code

This small section of code is not enough to determine the issue. Can you post a complete example which replicates your issue?

What is the error?