Virtual interface and clocking block problem

when i compiled the top level of the uploaded sv codes found in the below google link using questasim:
https://drive.google.com/open?id=0ByYP0_eajX-kWFJqeUJCaUJDb0k

it got the below errors related to default clocking block, what is that mean and what is the solution?
thanks in advance.

vlog -reportprogress 300 -work work C:/SV-training/sv-ch8/top_xmr_deftype.sv

QuestaSim vlog 10.3 Compiler 2014.01 Jan 6 2014

Start time: 08:05:05 on Feb 20,2017

vlog -reportprogress 300 -work work C:/SV-training/sv-ch8/top_xmr_deftype.sv

– Compiling interface X_if

– Compiling package top_xmr_deftype_sv_unit

# ** Error: driver_xmr_deftype.sv(24): A default clocking block must be specified to use the ##n timing statement.
# ** Error: driver_xmr_deftype.sv(26): A default clocking block must be specified to use the ##n timing statement.

– Compiling interface X_if

# ** Error: driver_xmr_deftype.sv(24): A default clocking block must be specified to use the ##n timing statement.
# ** Error: driver_xmr_deftype.sv(26): A default clocking block must be specified to use the ##n timing statement.

– Compiling module DUT

– Compiling program test

– Compiling module top

End time: 08:05:05 on Feb 20,2017

Errors: 4, Warnings: 0

C:/questasim_10.3/win32/vlog failed.

In reply to moustafaali:

https://verificationacademy.com/forums/systemverilog/default-clocking-block

In reply to 8Blades:

thanks a lot, it is working with “repeat(1)@(xi.cb)”.