Regarding Overlapping dynamic bins

I am trying the following code ::


bit [2:0]  a ;

   covergroup cg_exp ;
   
      //Coverpoint1
      cp_a : coverpoint a    
      {
        bins  low_bin[] =  { [0:4] } ;
        bins  med_bin[] =  { [3:7] } ;   //  Overlapping  Values 
      }   
      
   endgroup

  cg_exp  c1  =  new() ;

//  How  many  Total  bins  would  be  generated  for  coverpoint ' cp_a '  ?
//  How  many  bins  would  exist  for  each  of  them  ( low_bin  and  med_bin )  ?

I observe different output across tools . How does the LRM define the above scenario ?

In reply to hisingh:

Generally this forum is not for discussing tool specific issues.

The LRM says binname[] creates a separate bin for every value in the bin specification. So there would be 5 bins for each bin specification for a total of 10 bins. There is no merging of bins for overlapping bin values between different bin names.