How can I set the scope for my exported SV function using DPI?

I have imported function definitions inside some dpi_package I created. Then I defined an exported SV function in a module that I instantiated in my testbench.
When I call exported function from C, because it’s not defined in the dpi_package I get an error. I wanted to set the new scope for my module using:
svSetScope(svGetScopeFromName(“dpi_module”));

But it says that dpi_module doesn’t exist. What could be the issue?

In reply to aleksandra.dimanic:

The scope should be the hierarchical path to your module instance, not the name of the module. An exception is the scope of a top-level module is the same of the module name. But you have already said this module is instantiated in your testbench.