Hello,
Can you put a forever inside a task in SystemVerilog ?
module FJ;
logic clk1=0;
task T;
forever
begin
#10 clk1 = ~clk1;
end
endtask
initial
begin
T;
#100;
$finish;
end
initial
begin
$dumpvars;
$dumpfile("tb.vcd");
end
endmodule
Thanks,
JeffD