ADDRESS:assert property (MEM_WRITE(wr_cyle)) else $display("ASSERTION FAILED ADDRESS IN NOT CHANGING"); //wr_cyle is defined as 5 (exp : 1 cycle write operation and 5 cycle no operation for same address 6 clock cycle need to write //for one address)
//or can i write like this //
property MEM_WRITE;
@(posedge clk)
(~wen) |=> ##[1:8] $changed(addr);
endproperty
ADDRESS:assert property (MEM_WRITE) else $display(“ASSERTION FAILED ADDRESS IN NOT CHANGING”)
///////////////////////////////////////