System verilog coverage : map coverage from different array elements to same coverpoint

Hi,
In Systemverilog is there a way to get coverage from elements of Array to the same coverpoint. Say

int arr[10]; // array of elements 
covergroup cov_arr;
coverpoint arr {bins arr_b = {[1:20]};} // I want coverage from different elements of array to hit one set of bins 
endgroup

In reply to Chakrakirthi:

You would need to use a foreach loop and a sample method

int arr[10]; // array of elements 
covergroup cov_arr with function sample(int element);
  coverpoint element {bins arr_b[] = {[1:20]};} // I want coverage from different elements of array to hit one set[] of bins 
endgroup

cov_arr cg = new();


foreach (arr[ele]) cg.sample(arr[ele]);