Ignore_bins and Cross

Hello,

In the following example, which specific bin will be ignored? Is it the cross between bins rnop and all_bank?

cp_cmds : coverpoint command {
  bins rnop = {1}:
  bins act = {2};
  bins pre ={3};
}
cp_bank : coverpoint row_bank {
  bins all_bank ={[0:63]};
}
cp_cross_cmd_bank : cross cp_cmds,cp_bank{
  ignore_bins = binsof(cp_cmds) intersect{1} && binsof(cp_bank);
}

In reply to a72:

Yes, in this case you could have written

  ignore_bins ign = binsof(cp_cmds.rnop) && binsof(cp_bank);