uvm_pair classes

This section defines container classes for handling value pairs.

Contents
uvm_pair classesThis section defines container classes for handling value pairs.
uvm_class_pair #(T1,T2)Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.
uvm_built_in_pair #(T1,T2)Container holding two variables of built-in types (int, string, etc.)

uvm_class_pair #(T1,T2)

Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.

Summary
uvm_class_pair #(T1,T2)
Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.
Class Hierarchy
uvm_class_pair#(T1,T2)
Class Declaration
class uvm_class_pair #(
    type  T1  =  int,
      T2  =  T1
) extends uvm_object
Variables
T1 firstThe handle to the first object in the pair
T2 secondThe handle to the second object in the pair
Methods
newCreates an instance that holds a handle to two objects.

T1 first

T1 first

The handle to the first object in the pair

T2 second

T2 second

The handle to the second object in the pair

new

function new (
    string  name  =  "",
    T1  f  =  null,
    T2  s  =  null
)

Creates an instance that holds a handle to two objects.  The optional name argument gives a name to the new pair object.

uvm_built_in_pair #(T1,T2)

Container holding two variables of built-in types (int, string, etc.).  The types are specified by the type parameters, T1 and T2.

Summary
uvm_built_in_pair #(T1,T2)
Container holding two variables of built-in types (int, string, etc.)
Class Hierarchy
uvm_built_in_pair#(T1,T2)
Class Declaration
class uvm_built_in_pair #(
    type  T1  =  int,
      T2  =  T1
) extends uvm_object
Variables
T1 firstThe first value in the pair
T2 secondThe second value in the pair
Methods
newCreates an instance that holds two built-in type values.

T1 first

T1 first

The first value in the pair

T2 second

T2 second

The second value in the pair

new

function new (
    string  name  =  ""
)

Creates an instance that holds two built-in type values.  The optional name argument gives a name to the new pair object.

class uvm_class_pair #(
    type  T1  =  int,
      T2  =  T1
) extends uvm_object
Container holding handles to two objects whose types are specified by the type parameters, T1 and T2.
class uvm_built_in_pair #(
    type  T1  =  int,
      T2  =  T1
) extends uvm_object
Container holding two variables of built-in types (int, string, etc.)
virtual class uvm_void
The uvm_void class is the base class for all UVM classes.
virtual class uvm_object extends uvm_void
The uvm_object class is the base class for all UVM data and hierarchical classes.
T1 first
The handle to the first object in the pair
T2 second
The handle to the second object in the pair
function new (
    string  name  =  "",
    T1  f  =  null,
    T2  s  =  null
)
Creates an instance that holds a handle to two objects.
T1 first
The first value in the pair
T2 second
The second value in the pair
function new (
    string  name  =  ""
)
Creates an instance that holds two built-in type values.