Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
A
 accept_tr
 add
 add_by_name
 add_callback
 add_coverage
 add_hdl_path
 add_hdl_path_slice
 add_mem
 add_path
 add_reg
 add_slice
 add_submap
 add_uvm_phases
 adjust_name
 all_dropped
 allocate
 apply_config_settings
B
 b_transport
 backdoor
 backdoor_read
 backdoor_read_func
 backdoor_watch
 backdoor_write
 begin_child_tr
 begin_tr
 body
 build_coverage
 build_phase
 burst_read
 burst_write
 bus2reg
C
 callback_mode
 can_get
 can_peek
 can_put
 cancel
 capacity
 catch
 check_config_usage
 check_data_width
 check_phase
 clear
 clear_extension
 clear_extensions
 clear_hdl_path
 clear_response_queue
 clone
 compare
 compare_field
 compare_field_int
 compare_field_real
 compare_object
 compare_string
 compose_message
 configure
 configure_phase
 connect
 Connect
 connect_phase
 convert2string
 copy
 create
 create_component
 create_component_by_name
 create_component_by_type
 create_item
 create_map
 create_object
 create_object_by_name
 create_object_by_type
 current_grabber
D
 debug_connected_to
 debug_create_by_name
 debug_create_by_type
 debug_provided_to
 decode
 decr
 define_access
 define_domain
 delete
 delete_by_name
 delete_callback
 die
 disable_recording
 display
 display_objections
 do_accept_tr
 do_begin_tr
 do_block
 do_bus_read
 do_bus_write
 do_compare
 do_copy
 do_end_tr
 do_kill
 do_pack
 do_post_read
 do_post_write
 do_pre_read
 do_pre_write
 do_predict
 do_print
 do_read
 do_record
 do_reg_item
 do_unpack
 do_write
 drop_objection
 dropped
 dump
 dump_report_state
 dump_server_state
E
 emit
 enable_recording
 encode
 end_of_elaboration_phase
 end_tr
 exec_func
 exec_task
 execute
 execute_item
 exists
 extract_phase
F
 final_phase
 find
 find_all
 find_block
 find_blocks
 find_by_name
 find_override_by_name
 find_override_by_type
 find_unused_resources
 finish_item
 first
 flush
 for_each
 format_action
 format_header
 format_row
function void accept_tr ( uvm_transaction  tr,   
time  accept_time  =  )
This function marks the acceptance of a transaction, tr, by this component.
function void accept_tr ( time  accept_time  =  )
Calling accept_tr indicates that the transaction item has been received by a consumer component.
static function void add( obj,   
uvm_callback  cb,   
uvm_apprepend  ordering  =  UVM_APPEND )
Registers the given callback object, cb, with the given obj handle.
function void add ( uvm_component  comp )
Add a single component to the set of components to be monitored.
function void add( uvm_phase  phase,   
uvm_phase  with_phase  =  null,
uvm_phase  after_phase  =  null,
uvm_phase  before_phase  =  null )
Build up a schedule structure inserting phase by phase, specifying linkage
virtual function void add ( KEY  key,
item )
Adds the given (key, item) pair to the pool.
static function void add( uvm_reg  rg )
Add this callback to the specified register and its contained fields.
static function void add( uvm_reg  rg )
Add this callback to the specified register and its contained fields.
static function void add_by_name( string  name,   
uvm_callback  cb,   
uvm_component  root,   
uvm_apprepend  ordering  =  UVM_APPEND )
Registers the given callback object, cb, with one or more uvm_components.
virtual function void add_callback ( uvm_event_callback  cb,   
bit  append  =  1 )
Registers a callback object, cb, with this event.
virtual protected function void add_coverage( uvm_reg_cvr_t  models )
Specify that additional coverage models are available.
virtual protected function void add_coverage( uvm_reg_cvr_t  models )
Specify that additional coverage models are available.
virtual protected function void add_coverage( uvm_reg_cvr_t  models )
Specify that additional coverage models are available.
function void add_hdl_path ( uvm_hdl_path_slice  slices[],   
string  kind  =  "RTL" )
Add an HDL path
function void add_hdl_path ( uvm_hdl_path_slice  slices[],   
string  kind  =  "RTL" )
Add an HDL path
function void add_hdl_path ( string  path,   
string  kind  =  "RTL" )
Add an HDL path
function void add_hdl_path ( string  path,   
string  kind  =  "RTL" )
Add an HDL path
function void add_hdl_path_slice( string  name,   
int  offset,   
int  size,   
bit  first  =  0,
string  kind  =  "RTL" )
Add the specified HDL slice to the HDL path for the specified design abstraction.
function void add_hdl_path_slice( string  name,   
int  offset,   
int  size,   
bit  first  =  0,
string  kind  =  "RTL" )
Append the specified HDL slice to the HDL path of the register instance for the specified design abstraction.
virtual function void add_mem ( uvm_mem  mem,   
uvm_reg_addr_t  offset,   
string  rights  =  "RW",
bit  unmapped  =  0,
uvm_reg_frontdoor  frontdoor  =  null )
Add a memory
function void add_path( string  path,   
int  unsigned  offset  =  -1,
int  unsigned  size  =  -1 )
Append the specified path to the path concatenation, for the specified number of bits at the specified offset.
virtual function void add_reg ( uvm_reg  rg,   
uvm_reg_addr_t  offset,   
string  rights  =  "RW",
bit  unmapped  =  0,
uvm_reg_frontdoor  frontdoor  =  null )
Add a register
function void add_slice( uvm_hdl_path_slice  slice )
Append the specified slice literal to the path concatenation
virtual function void add_submap ( uvm_reg_map  child_map,
uvm_reg_addr_t  offset )
Add an address map
static function void add_uvm_phases( uvm_phase  schedule )
Appends to the given schedule the built-in UVM phases.
virtual protected function string adjust_name ( string  id,   
byte  scope_separator  =  "." )
Prints a field’s name, or id, which is the full instance name.
virtual task all_dropped ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Executes the uvm_objection_callback::all_dropped task in the user callback class whenever the objection count for this objection in reference to obj goes to zero.
virtual task all_dropped ( uvm_objection  objection,
uvm_object  source_obj,
string  description,
int  count )
The all_droppped callback is called when all objections have been dropped by this component and all its descendants.
virtual task all_dropped ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection callback that is called when a drop_objection has reached obj, and the total count for obj goes to zero.
virtual task all_dropped ( uvm_objection  objection,
uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection all_dropped callback function.
virtual function uvm_mem_region allocate( longint  unsigned  n,
uvm_mem_mam  mam )
Randomly implement, resize or relocate a virtual register array
virtual function void apply_config_settings ( bit  verbose  =  )
Searches for all config settings matching this component’s instance path.
virtual task b_transport( t,
uvm_tlm_time  delay )
Execute a blocking transaction.
static function uvm_reg_map backdoor()
Return the backdoor pseudo-map singleton
virtual protected task backdoor_read( uvm_reg_item  rw )
User-define backdoor read access
virtual task backdoor_read( uvm_reg_item  rw )
User-define backdoor read access
virtual function uvm_status_e backdoor_read_func( uvm_reg_item  rw )
User-defined backdoor read access
virtual function uvm_status_e backdoor_read_func( uvm_reg_item  rw )
User-defined backdoor read access
virtual task backdoor_watch()
User-defined DUT register change monitor
virtual task backdoor_write( uvm_reg_item  rw )
User-defined backdoor read access
virtual task backdoor_write( uvm_reg_item  rw )
User-defined backdoor read access
function integer begin_child_tr ( uvm_transaction  tr,   
integer  parent_handle  =  0,
string  stream_name  =  "main",
string  label  =  "",
string  desc  =  "",
time  begin_time  =  0 )
This function marks the start of a child transaction, tr, by this component.
function integer begin_child_tr ( time  begin_time  =  0,
integer  parent_handle  =  0 )
This function indicates that the transaction has been started as a child of a parent transaction given by parent_handle.
function integer begin_tr ( uvm_transaction  tr,   
string  stream_name  =  "main",
string  label  =  "",
string  desc  =  "",
time  begin_time  =  0,
integer  parent_handle  =  0 )
This function marks the start of a transaction, tr, by this component.
function integer begin_tr ( time  begin_time  =  )
This function indicates that the transaction has been started and is not the child of another transaction.
virtual task body()
Execute the Memory Access sequence.
virtual task body()
Performs the walking-ones algorithm on each map of the memory specifed in mem.
virtual task body()
Executes the mem walk sequence, one block at a time.
virtual task body()
Executes the Register Access sequence.
virtual task body()
Executes the Register Bit Bash sequence.
virtual task body()
Executes any or all the built-in register and memory sequences.
virtual task body()
Executes the Shared Register and Memory sequence
virtual task body()
Continually gets a register transaction from the configured upstream sequencer, reg_seqr, and executes the corresponding bus transaction via do_rw_access.
virtual task body()
This is the user-defined task where the main sequence code resides.
protected function uvm_reg_cvr_t build_coverage( uvm_reg_cvr_t  models )
Check if all of the specified coverage model must be built.
protected function uvm_reg_cvr_t build_coverage( uvm_reg_cvr_t  models )
Check if all of the specified coverage models must be built.
protected function uvm_reg_cvr_t build_coverage( uvm_reg_cvr_t  models )
Check if all of the specified coverage model must be built.
virtual function void build_phase( uvm_phase  phase )
The uvm_build_phase phase implementation method.
virtual task burst_read( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
ref  uvm_reg_data_t  value[],   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read values from memory locations
task burst_read( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
output  uvm_reg_data_t  value[],   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Read from a set of memory location in the region.
virtual task burst_write( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value[],   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write the specified values in memory locations
task burst_write( output  uvm_status_e  status,   
input  uvm_reg_addr_t  offset,   
input  uvm_reg_data_t  value[],   
input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
input  uvm_reg_map  map  =  null,
input  uvm_sequence_base  parent  =  null,
input  int  prior  =  -1,
input  uvm_object  extension  =  null,
input  string  fname  =  "",
input  int  lineno  =  0 )
Write to a set of memory location in the region.
pure virtual function void bus2reg( uvm_sequence_item  bus_item,
ref  uvm_reg_bus_op  rw )
Extensions of this class must implement this method to copy members of the given bus-specific bus_item to corresponding members of the provided bus_rw instance.
virtual function void bus2reg( uvm_sequence_item  bus_item,
ref  uvm_reg_bus_op  rw )
Converts a uvm_tlm_gp item to a uvm_reg_bus_op.
function bit callback_mode( int  on  =  -1 )
Enable/disable callbacks (modeled like rand_mode and constraint_mode).
virtual function bit can_get()
Returns 1 if a new transaction can be provided immediately upon request, 0 otherwise.
virtual function bit can_peek()
Returns 1 if a new transaction is available; 0 otherwise.
virtual function bit can_put()
Returns 1 if the component is ready to accept the transaction; 0 otherwise.
virtual function void cancel ()
Decrements the waiter count by one.
virtual function void cancel ()
Decrements the number of waiters on the event.
function int unsigned capacity()
The maximum number of entries, or depth, of the FIFO.
pure virtual function action_e catch()
This is the method that is called for each registered report catcher.
function void check_config_usage ( bit  recurse  =  1 )
Check all configuration settings in a components configuration table to determine if the setting has been used, overridden or not used.
protected static function bit check_data_width( int  unsigned  width )
Check that the specified data width (in bits) is less than or equal to the value of `UVM_REG_DATA_WIDTH
virtual function void check_phase( uvm_phase  phase )
The uvm_check_phase phase implementation method.
virtual function void check_phase( uvm_phase  phase )
Checks that no pending register transactions are still enqueued.
virtual function void clear( uvm_object  obj  =  null )
Immediately clears the objection state.
function void clear_extension( uvm_tlm_extension_base  ext_handle )
Remove the instance-specific extension bound under the specified key.
function void clear_extensions()
Remove all instance-specific extensions
function void clear_hdl_path ( string  kind  =  "RTL" )
Delete HDL paths
function void clear_hdl_path ( string  kind  =  "RTL" )
Delete HDL paths
function void clear_hdl_path ( string  kind  =  "RTL" )
Delete HDL paths
function void clear_hdl_path ( string  kind  =  "RTL" )
Delete HDL paths
virtual function void clear_response_queue()
Empties the response queue for this sequence.
virtual function uvm_object clone ()
The clone method creates and returns an exact copy of this object.
function bit compare ( uvm_object  rhs,   
uvm_comparer  comparer  =  null )
Deep compares members of this data object with those of the object provided in the rhs (right-hand side) argument, returning 1 on a match, 0 othewise.
virtual function bit compare_field ( string  name,   
uvm_bitstream_t  lhs,   
uvm_bitstream_t  rhs,   
int  size,   
uvm_radix_enum  radix  =  UVM_NORADIX )
Compares two integral values.
virtual function bit compare_field_int ( string  name,   
logic[63:0]  lhs,   
logic[63:0]  rhs,   
int  size,   
uvm_radix_enum  radix  =  UVM_NORADIX )
This method is the same as compare_field except that the arguments are small integers, less than or equal to 64 bits.
virtual function bit compare_field_real ( string  name,
real  lhs,
real  rhs )
This method is the same as compare_field except that the arguments are real numbers.
virtual function bit compare_object ( string  name,
uvm_object  lhs,
uvm_object  rhs )
Compares two class objects using the policy knob to determine whether the comparison should be deep, shallow, or reference.
virtual function bit compare_string ( string  name,
string  lhs,
string  rhs )
Compares two string variables.
virtual function string compose_message( uvm_severity  severity,
string  name,
string  id,
string  message,
string  filename,
int  line )
Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.
function void configure ( uvm_reg_block  parent,   
string  hdl_path  =  "" )
Instance-specific configuration
function void configure ( uvm_reg_block  blk_parent,   
uvm_reg_file  regfile_parent  =  null,
string  hdl_path  =  "" )
Instance-specific configuration
function void configure( uvm_reg_block  parent  =  null,
string  hdl_path  =  "" )
Instance-specific configuration
function void configure( uvm_reg  parent,
int  unsigned  size,
int  unsigned  lsb_pos,
string  access,
bit  volatile,
uvm_reg_data_t  reset,
bit  has_reset,
bit  is_rand,
bit  individually_accessible )
Instance-specific configuration
function void configure ( uvm_reg_block  blk_parent,   
uvm_reg_file  regfile_parent,   
string  hdl_path  =  "" )
Configure a register file instance
function void configure ( uvm_reg  idx,   
uvm_reg  reg_a[],   
uvm_reg_block  blk_parent,   
uvm_reg_file  regfile_parent  =  null )
Configure the indirect data register.
function void configure( uvm_reg_block  parent,   
uvm_reg_addr_t  base_addr,   
int  unsigned  n_bytes,   
uvm_endianness_e  endian,   
bit  byte_addressing  =  1 )
Instance-specific configuration
function void configure( uvm_reg_block  parent,   
uvm_mem  mem  =  null,
longint  unsigned  size  =  0,
uvm_reg_addr_t  offset  =  0,
int  unsigned  incr  =  0 )
Instance-specific configuration
function void configure( uvm_vreg  parent,
int  unsigned  size,
int  unsigned  lsb_pos )
Instance-specific configuration
virtual task configure_phase( uvm_phase  phase )
The uvm_configure_phase phase implementation method.
virtual function void connect ( this_type  provider )
Connects this port to the given provider port.
function void connect( this_type  provider )
Connect this socket to the specified uvm_tlm_nb_initiator_socket
function void connect( this_type  provider )
Connect this socket to the specified uvm_tlm_nb_initiator_socket
Connect this socket to the specified uvm_tlm_b_target_socket
Connect this socket to the specified uvm_tlm_b_initiator_socket
Connect this socket to the specified uvm_tlm_nb_target_socket
virtual function void connect_phase( uvm_phase  phase )
The uvm_connect_phase phase implementation method.
function string convert2string()
Image of the state of the manager
virtual function string convert2string()
This virtual function is a user-definable hook, called directly by the user, that allows users to provide object information in the form of a string.
virtual function string convert2string()
Returns a string showing the contents of this transaction.
function void copy ( uvm_object  rhs )
The copy makes this object a copy of the specified object.
static function T create( string  name,   
uvm_component  parent,   
string  contxt  =  "" )
Returns an instance of the component type, T, represented by this proxy, subject to any factory overrides based on the context provided by the parent’s full name.
virtual function uvm_object create ( string  name  =  "" )
The create method allocates a new object of the same type as this object and returns it via a base uvm_object handle.
static function T create ( string  name  =  "",
uvm_component  parent  =  null,
string  contxt  =  "" )
Returns an instance of the object type, T, represented by this proxy, subject to any factory overrides based on the context provided by the parent’s full name.
virtual function uvm_object create ( string  name  =  "" )
function uvm_component create_component ( string  requested_type_name,
string  name )
A convenience function for uvm_factory::create_component_by_name, this method calls upon the factory to create a new child component whose type corresponds to the preregistered type name, requested_type_name, and instance name, name.
virtual function uvm_component create_component ( string  name,
uvm_component  parent )
Creates a component of type T having the provided name and parent.
virtual function uvm_component create_component ( string  name,
uvm_component  parent )
Creates a new component, passing to its constructor the given name and parent.
function uvm_component create_component_by_name ( string  requested_type_name,   
string  parent_inst_path  =  "",
string  name,   
uvm_component  parent    )
Creates and returns a component or object of the requested type, which may be specified by type or by name.
function uvm_component create_component_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name,   
    uvm_component  parent   
)
protected function uvm_sequence_item create_item(
    uvm_object_wrapper  type_var,
    uvm_sequencer_base  l_sequencer,
    string  name
)
Create_item will create and initialize a sequence_item or sequence using the factory.
virtual function uvm_reg_map create_map( string  name,   
uvm_reg_addr_t  base_addr,   
int  unsigned  n_bytes,   
uvm_endianness_e  endian,   
bit  byte_addressing  =  1 )
Create an address map in this block
function uvm_object create_object ( string  requested_type_name,   
string  name  =  "" )
A convenience function for uvm_factory::create_object_by_name, this method calls upon the factory to create a new object whose type corresponds to the preregistered type name, requested_type_name, and instance name, name.
virtual function uvm_object create_object( string  name  =  "" )
Creates an object of type T and returns it as a handle to an uvm_object.
virtual function uvm_object create_object ( string  name  =  "" )
Creates a new object with the optional name.
function uvm_object create_object_by_name ( string  requested_type_name,   
string  parent_inst_path  =  "",
string  name  =  "" )
function uvm_object create_object_by_type ( uvm_object_wrapper  requested_type,   
string  parent_inst_path  =  "",
string  name  =  "" )
virtual function uvm_sequence_base current_grabber()
Returns a reference to the sequence that currently has a lock or grab on the sequence.
function void debug_connected_to ( int  level  =  0,
int  max_level  =  -1 )
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
function void debug_create_by_name ( string  requested_type_name,   
string  parent_inst_path  =  "",
string  name  =  "" )
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
function void debug_create_by_type ( uvm_object_wrapper  requested_type,   
string  parent_inst_path  =  "",
string  name  =  "" )
function void debug_provided_to ( int  level  =  0,
int  max_level  =  -1 )
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
virtual function void decode( ref  uvm_reg_data_t  data[] )
Data decode
function void decr( real  t,
time  scaled,
real  secs )
Decrement the time value by the specified number of scaled time unit
static function bit define_access( string  name )
Define a new access policy value
virtual protected function void define_domain( uvm_domain  domain )
Builds custom phase schedules into the provided domain handle.
static function void delete( obj,
uvm_callback  cb )
Deletes the given callback object, cb, from the queue associated with the given obj handle.
virtual function void delete ( string  key )
Removes the item with the given string key from the pool.
virtual function void delete ( KEY  key )
Removes the item with the given key from the pool.
virtual function void delete ( int  index  =  -1 )
Removes the item at the given index from the queue; if index is not provided, the entire contents of the queue are deleted.
static function void delete_by_name( string  name,
uvm_callback  cb,
uvm_component  root )
Removes the given callback object, cb, associated with one or more uvm_component callback queues.
virtual function void delete_callback ( uvm_event_callback  cb )
Unregisters the given callback, cb, from this event.
virtual function void die()
This method is called by the report server if a report reaches the maximum quit count or has an UVM_EXIT action associated with it, e.g., as with fatal errors.
function void disable_recording ()
Turns off recording for the transaction stream.
static function void display( obj  =  null )
This function displays callback information for obj.
function void display_objections( uvm_object  obj  =  null,
bit  show_header  =  1 )
Displays objection information about the given object.
virtual protected function void do_accept_tr ( uvm_transaction  tr )
The accept_tr method calls this function to accommodate any user-defined post-accept action.
virtual protected function void do_accept_tr ()
This user-definable callback is called by accept_tr just before the accept event is triggered.
virtual protected function void do_begin_tr ( uvm_transaction  tr,
string  stream_name,
integer  tr_handle )
The begin_tr and begin_child_tr methods call this function to accommodate any user-defined post-begin action.
virtual protected function void do_begin_tr ()
This user-definable callback is called by begin_tr and begin_child_tr just before the begin event is triggered.
protected virtual task do_block( uvm_reg_block  blk )
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the registers in a block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the registers in a a given block
protected virtual task do_block( uvm_reg_block  blk )
Test all of the registers and memories in a block
virtual task do_bus_read ( uvm_reg_item  rw,
uvm_sequencer_base  sequencer,
uvm_reg_adapter  adapter )
Perform a bus read operation.
virtual task do_bus_write ( uvm_reg_item  rw,
uvm_sequencer_base  sequencer,
uvm_reg_adapter  adapter )
Perform a bus write operation.
virtual function bit do_compare ( uvm_object  rhs,
uvm_comparer  comparer )
The do_compare method is the user-definable hook called by the compare method.
virtual function void do_copy ( uvm_object  rhs )
The do_copy method is the user-definable hook called by the copy method.
virtual function void do_copy( uvm_object  rhs )
Copy the rhs object into this object.
virtual protected function void do_end_tr ( uvm_transaction  tr,
integer  tr_handle )
The end_tr method calls this function to accommodate any user-defined post-end action.
virtual protected function void do_end_tr ()
This user-definable callback is called by end_tr just before the end event is triggered.
virtual function void do_kill()
This function is a user hook that is called whenever a sequence is terminated by using either sequence.kill() or sequencer.stop_sequences() (which effectively calls sequence.kill()).
virtual function void do_pack ( uvm_packer  packer )
The do_pack method is the user-definable hook called by the pack methods.
protected task do_post_read( uvm_reg_item  rw )
Execute the post-read callbacks
protected task do_post_write( uvm_reg_item  rw )
Execute the post-write callbacks
protected task do_pre_read( uvm_reg_item  rw )
Execute the pre-read callbacks
protected task do_pre_write( uvm_reg_item  rw )
Execute the pre-write callbacks
virtual function void do_predict( uvm_reg_item  rw,   
uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
uvm_reg_byte_en_t  be  =  -1 )
Updates the abstract (mirror) FIFO based on write() and read() operations.
virtual function void do_print ( uvm_printer  printer )
The do_print method is the user-definable hook called by print and sprint that allows users to customize what gets printed or sprinted beyond the field information provided by the `uvm_field_* macros, Utility and Field Macros for Components and Objects.
function void do_print ( uvm_printer  printer )
Implementation of do_print which is called by print().
virtual task do_read( uvm_reg_item  rw )
Perform a read operation.
virtual function void do_record ( uvm_recorder  recorder )
The do_record method is the user-definable hook called by the record method.
virtual task do_reg_item( uvm_reg_item  rw )
Executes the given register transaction, rw, via the sequencer on which this sequence was started (i.e.
virtual function void do_unpack ( uvm_packer  packer )
The do_unpack method is the user-definable hook called by the unpack method.
virtual task do_write( uvm_reg_item  rw )
Perform a write operation.
virtual function void drop_objection ( uvm_object  obj  =  null,
string  description  =  "",
int  count  =  1 )
Drops the number of objections for the source object by count, which defaults to 1.
virtual function void drop_objection ( uvm_object  obj,   
string  description  =  "",
int  count  =  1 )
Drop an objection to ending this phase
virtual function void dropped ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Executes the uvm_objection_callback::dropped method in the user callback class whenever this objection is dropped at the object obj.
virtual function void dropped ( uvm_objection  objection,
uvm_object  source_obj,
string  description,
int  count )
The dropped callback is called when this or a descendant of this component instance drops the specfied objection.
virtual function void dropped ( uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection callback that is called when a drop_objection has reached obj.
virtual function void dropped ( uvm_objection  objection,
uvm_object  obj,
uvm_object  source_obj,
string  description,
int  count )
Objection dropped callback function.
static function void dump()
Dump all the resources in the resource pool.
function void dump( bit  audit  =  0 )
dump the entire resource pool.
function void dump_report_state()
This method dumps the internal state of the report handler.
function void dump_server_state()
Dumps server state information.
virtual function string emit ()
Emits a string representing the contents of an object in a format defined by an extension of this object.
virtual function string emit()
Formats the collected information from prior calls to print_* into table format.
virtual function string emit()
Formats the collected information from prior calls to print_* into hierarchical tree format.
function void enable_recording ( string  stream,   
uvm_recorder  recorder  =  null )
Turns on recording to the stream specified by stream, whose interpretation is implementation specific.
virtual function void encode( ref  uvm_reg_data_t  data[] )
Data encoder
virtual function void end_of_elaboration_phase( uvm_phase  phase )
The uvm_end_of_elaboration_phase phase implementation method.
function void end_tr ( uvm_transaction  tr,   
time  end_time  =  0,
bit  free_handle  =  1 )
This function marks the end of a transaction, tr, by this component.
function void end_tr ( time  end_time  =  0,
bit  free_handle  =  1 )
This function indicates that the transaction execution has ended.
virtual function void exec_func( uvm_component  comp,
uvm_phase  phase )
Implements the functor/delegate functionality for a function phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual task exec_task( uvm_component  comp,
uvm_phase  phase )
Implements the functor/delegate functionality for a task phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Executes the bottom-up phase phase for the component comp.
virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Fork the task-based phase phase for the component comp.
virtual function void execute( uvm_component  comp,
uvm_phase  phase )
Executes the top-down phase phase for the component comp.
virtual task execute_item( uvm_sequence_item  item )
Executes the given transaction item directly on this sequencer.
static function bit exists( uvm_component  cntxt,   
string  inst_name,   
string  field_name,   
bit  spell_chk  =  )
Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.
virtual function int exists ( KEY  key )
Returns 1 if a item with the given key exists in the pool, 0 otherwise.
virtual function void extract_phase( uvm_phase  phase )
The uvm_extract_phase phase implementation method.
virtual function void final_phase( uvm_phase  phase )
The uvm_final_phase phase implementation method.
function uvm_phase find( uvm_phase  phase,   
bit  stay_in_scope  =  1 )
Locate the phase node with the specified phase IMP and return its handle.
function uvm_component find ( string  comp_match )
function void find_all ( string  comp_match,   
ref  uvm_component  comps[$],   
input  uvm_component  comp  =  null )
Returns the component handle (find) or list of components handles (find_all) matching a given string.
static function types_t find_all( uvm_component  start )
Recursively finds all component instances of the parameter type TYPE, starting with the component given by start.
static function uvm_reg_block find_block( input  string  name,   
input  uvm_reg_block  root  =  null,
input  uvm_object  accessor  =  null )
Find the first block whose hierarchical names match the specified name glob.
static function int find_blocks( input  string  name,   
ref  uvm_reg_block  blks[$],   
input  uvm_reg_block  root  =  null,
input  uvm_object  accessor  =  null )
Find the blocks whose hierarchical names match the specified name glob.
function uvm_phase find_by_name( string  name,   
bit  stay_in_scope  =  1 )
Locate a phase node with the specified name and return its handle.
function uvm_object_wrapper find_override_by_name ( string  requested_type_name,
string  full_inst_path )
These methods return the proxy to the object that would be created given the arguments.
function uvm_object_wrapper find_override_by_type (
    uvm_object_wrapper  requested_type,
    string  full_inst_path
)
function uvm_resource_types::rsrc_q_t find_unused_resources()
Locate all the resources that have at least one write and no reads
virtual task finish_item ( uvm_sequence_item  item,   
int  set_priority  =  -1 )
finish_item, together with start_item together will initiate operation of a sequence_item.
function CB first()
Returns the first valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int first ( ref  KEY  key )
Returns the key of the first item stored in the pool.
virtual function void flush()
This method sets m_matches and m_mismatches back to zero.
virtual function void flush()
Removes all entries from the FIFO, after which used returns 0 and is_empty returns 1.
function uvm_mem_region for_each( bit  reset  =  0 )
Iterate over all currently allocated regions
function string format_action( uvm_action  action )
Returns a string representation of the action, e.g., “DISPLAY”.
Hook to override base footer with a custom footer.
virtual function string format_row ( uvm_printer_row_info  row )
Hook for producing custom output of a single field (row).